推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

FPGA读写 SDRAM Verilog设计源码Quartus工程文件

更新时间:2022-10-07 09:08:01 大小:10M 上传用户:铁蛋锅查看TA发布的资源 标签:fpgasdramverilogquartus 下载积分:7分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

FPGA读写 SDRAM Verilog设计源码Quartus工程文件

部分文件列表

文件名大小
sdram_rw_test/
sdram_rw_test/doc/
sdram_rw_test/par/
sdram_rw_test/par/PLLJ_PLLSPE_INFO.txt
sdram_rw_test/par/db/
sdram_rw_test/par/db/.cmp.kpt
sdram_rw_test/par/db/a_gray2bin_7ib.tdf2KB
sdram_rw_test/par/db/a_graycounter_2lc.tdf4KB
sdram_rw_test/par/db/a_graycounter_677.tdf4KB
sdram_rw_test/par/db/alt_synch_pipe_0e8.tdf2KB
sdram_rw_test/par/db/alt_synch_pipe_1e8.tdf2KB
...

全部评论(0)

暂无评论