推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于FPGA的中值滤波Verilog程序

更新时间:2011-04-20 15:00:46 大小:54K 上传用户:hyp7617查看TA发布的资源 标签:VerilogFPGA 下载积分:1分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

运用Verilog语言来实现在FPGA的中值滤波

部分文件列表

文件名 大小
基于FPGA中值滤波Verilog程序.doc 54K

全部评论(1)

  • 2016-01-03 14:53:53青柚子

    程序的编写正好解决了我几天的疑惑,自己的程序也根据这个思路调试正确了