推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

fpga里实现uart,vhdl语言写的ise工程文件

更新时间:2019-11-08 18:59:06 大小:22K 上传用户:lalahug查看TA发布的资源 标签:fpgauartvhdl语言 下载积分:5分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

fpga里实现uart,vhdl语言写的ise工程文件

image.png

部分文件列表

文件名文件大小修改时间
使用说明.txt1KB2005-04-18 11:27:14
UART/automake.log1KB2005-04-12 23:20:38
UART/baudrate_generator.jhd1KB2005-04-12 23:16:42
UART/baudrate_generator.vhd2KB2005-04-12 23:16:40
UART/baudrate_generator_TB.jhd1KB2005-04-12 23:17:10
UART/baudrate_generator_TB.vhd3KB2005-04-12 23:17:08
UART/counter.jhd1KB2005-04-12 23:16:42
UART/counter.vhd1KB2005-04-12 23:16:40
UART/counter_TB.jhd1KB2005-04-12 23:17:10
UART/counter_TB.vhd2KB2005-04-12 23:17:08
UART/detector.jhd1KB2005-04-12 23:16:42
...

全部评论(1)