推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

FPGA的高精度数字频率计设计

更新时间:2019-01-17 00:18:11 大小:4M 上传用户:sun2152查看TA发布的资源 标签:fpga数字频率计 下载积分:1分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

频率计是一种应用非常广泛的电子仪器。本文设计了一种基于FPGA的新型频


率计——高精度数字频率计。


本论文完成了高精度数字频率计硬件设计和软件设计。该数字频率计主要包括


FPGA和单片机两大部分。其中FPGA部分又可分为数据测量模块、FPGA和单片


机接口模块、FPGA和数码管动态扫描部分。FPGA部分采用Verilog语言编写了电


路的各模块电路,选用了当前比较流行的EDA开发软件QuartusIⅡ作为开发平台,


所有模块程序均通过了编译和功能仿真验证。对测频系统的设计流程、模型的建立


和仿真做出了具体详细的研究,验证了该系统的正确性。单片机部分采用C51编


写了控制软件。


该频率计具有精度高、操作方便等优点,该频率计具有较强的实用性。


部分文件列表

文件名 大小
基于FPGA的高精度数字频率计设计.pdf 4M

全部评论(0)

暂无评论