推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

FPGA入门系列实验教程之led灯

更新时间:2013-01-21 19:56:49 大小:2M 上传用户:nini1392010查看TA发布的资源 标签:FPGA入门 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

基于fpga的led灯的点亮,利于初学者进行学习研究。

部分文件列表

文件名大小
FPGA入门系列实验教程——实验01点亮LED/1KB
FPGA入门系列实验教程——实验01点亮LED/FPGA入门系列实验教程V1.0.pdf92KB
FPGA入门系列实验教程——实验01点亮LED/FPGA入门系列实验教程——点亮LED.pdf2227KB
FPGA入门系列实验教程——实验01点亮LED/led/1KB
FPGA入门系列实验教程——实验01点亮LED/led/db/1KB
FPGA入门系列实验教程——实验01点亮LED/led/db/led.db_info1KB
FPGA入门系列实验教程——实验01点亮LED/led/db/led.sld_design_entry.sci1KB
FPGA入门系列实验教程——实验01点亮LED/led/led.asm.rpt7KB
FPGA入门系列实验教程——实验01点亮LED/led/led.done1KB
FPGA入门系列实验教程——实验01点亮LED/led/led.fit.rpt73KB
FPGA入门系列实验教程——实验01点亮LED/led/led.fit.smsg1KB
...

全部评论(0)

暂无评论