推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

EDA交通信号控制器VHDL的设计

更新时间:2018-10-18 11:30:47 大小:252K 上传用户:sun2152查看TA发布的资源 标签:eda交通信号控制器vhdl 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

设计任务:模拟十字路口交通信号灯的工作过程,利用实验板上的 两组红、黄、绿LED作为交通信号灯,设计一个交通信号灯控制器。要求:  (1)交通灯从绿变红时,有4秒黄灯亮的间隔时间; (2)交通灯红变绿是直接进行的,没有间隔时间;  (3)主干道上的绿灯时间为40秒,支干道的绿灯时间为20秒; (4)在任意时间,显示每个状态到该状态结束所需的时间。

设计要求:  (1)采用VHDL语言编写程序,并在QUARTUSII工具平台中进行仿真,下载到EDA实验箱进行验证。   2  (2)编写设计报告,要求包括方案选择、程序清单、调试过程、测试结果及心得体会。

部分文件列表

文件名 大小
EDA交通信号控制器VHDL的设计.doc 252K

全部评论(0)

暂无评论