您现在的位置是:首页 > 源码 > VHDL-Code for the display of LCD
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

VHDL-Code for the display of LCD

更新时间:2013-03-26 05:43:17 大小:6K 上传用户:shaguarhan查看TA发布的资源 标签:VHDL-Codedisplay 下载积分:3分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

This is the source code of VHDL for the display of LCD. I have tested it on the plattform of FPGA in my labor.

部分文件列表

文件名文件大小修改时间
display.vhd107KB2012-07-19 17:37:02

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载