您现在的位置是:首页 > 源码 > 流水线CPU的Verilog代码.rar
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

流水线CPU的Verilog代码.rar

更新时间:2010-06-07 20:37:54 大小:17K 上传用户:nwpuzhoukun查看TA发布的资源 标签:Verilog 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

一种流水线CPU的verilog源代码,里面有各个模块的源代码,希望对大家有帮助

部分文件列表

文件名文件大小修改时间
Pipelined CPU(2rd time)/cputop.v1KB2009-12-28 17:53:50
Pipelined CPU(2rd time)/data_memory.v1KB2009-12-28 16:30:24
Pipelined CPU(2rd time)/execution.v4KB2009-12-28 14:36:04
Pipelined CPU(2rd time)/flopr.v1KB2009-12-17 18:38:26
Pipelined CPU(2rd time)/instruction_decode.v9KB2009-12-28 15:28:48
Pipelined CPU(2rd time)/instruction_fetch.v3KB2009-12-28 14:44:04
Pipelined CPU(2rd time)/instruction_information.doc56KB2009-12-18 15:19:54
Pipelined CPU(2rd time)/instruction_memory.v1KB2009-12-28 17:14:40
Pipelined CPU(2rd time)/memory_access.v2KB2009-12-28 14:46:54
Pipelined CPU(2rd time)/pipelinedCPU.v2KB2010-02-17 17:43:50
Pipelined CPU(2rd time)/pipelinedCPU.v.bak2KB2009-12-28 17:40:08
...

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载