推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于CPLD的SGPIO总线实现及应用

更新时间:2016-12-20 21:04:46 大小:506K 上传用户:lihui567查看TA发布的资源 标签:CPLDSGPIO总线 下载积分:0分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

分析了SGPIO总线的数据传输机制,用CPLD模拟SGPIO总线协议来实现并行数据的串行传输,并将其与串并数据转换集成芯片进行对比,说明了前者的应用优势,并且指出了其应用场合。采用Lattice Diamond IDE进行了Verilog HDL代码编写和综合,并用ModelSire进行时序仿真,最终下载到CPLD器件进行测试。结果证明了采用CPLD实现SGPIO总线协议的可行性以及将其应用到板级之间数据通信的优越性。

部分文件列表

文件名 大小
基于CPLD的SGPIO总线实现及应用.pdf 506K

全部评论(1)

  • 2020-07-03 09:27:17adjda

    正好需要这方便的资料,谢谢!