推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

VIVADO,verilog编写完整可逆计数器

更新时间:2015-01-31 21:14:19 大小:462K 上传用户:lilyzz2000查看TA发布的资源 标签:Vivadoverilog计数器 下载积分:1分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

VIVADO下VERILOG学习计数器设计

部分文件列表

文件名大小
cnt10/1KB
cnt10/cnt10.cache/1KB
cnt10/cnt10.cache/compile_simlib/1KB
cnt10/cnt10.cache/wt/1KB
cnt10/cnt10.cache/wt/java_command_handlers.wdf1KB
cnt10/cnt10.cache/wt/synthesis.wdf3KB
cnt10/cnt10.cache/wt/synthesis_details.wdf1KB
cnt10/cnt10.cache/wt/webtalk_pa.xml2KB
cnt10/cnt10.hw/1KB
cnt10/cnt10.hw/hw_1/1KB
cnt10/cnt10.hw/hw_1/hw.xml1KB
...

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载