您现在的位置是:首页 > 源码 > verilog 32位加法器 代码
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

verilog 32位加法器 代码

更新时间:2011-12-07 12:02:37 大小:2K 上传用户:Beata熊查看TA发布的资源 标签:verilog32位加法器 下载积分:1分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

verilog 32位加法器 代码

部分文件列表

文件名文件大小修改时间
adder_32bits/adder_32bits.v1KB2011-12-06 21:23:24
adder_32bits/adder_32bits.v.bak1KB2011-12-06 21:23:24
adder_32bits/adder_8bits.v1KB2011-12-06 21:23:24
adder_32bits/adder_chosing.v1KB2011-12-06 21:23:24
adder_32bits/adder_chosing.v.bak1KB2011-12-06 21:23:24
adder_32bits/transcript1KB2011-12-06 21:35:26
adder_32bits1KB2011-12-06 21:24:22

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载