推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

8位乘法器,经移位相加算法来实现的,用的VHDL语言

更新时间:2019-10-27 14:29:09 大小:571K 上传用户:lalahug查看TA发布的资源 标签:乘法器vhdl 下载积分:9分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

8位乘法器,经移位相加算法来实现的,用的VHDL语言

image.png

部分文件列表

文件名文件大小修改时间
8bit Shift and Adder multiplier/8位乘法器,移位加法器实现/8位乘法器,移位加法器实现.vhd5KB2006-11-11 22:23:36
8bit Shift and Adder multiplier/8位乘法器,移位加法器实现/xp10_demo.rar570KB2006-12-30 19:00:22
8bit Shift and Adder multiplier/8位乘法器,移位加法器实现1KB2007-10-26 20:41:50
8bit Shift and Adder multiplier1KB2011-05-31 14:32:14

全部评论(0)

暂无评论