您现在的位置是:首页 > 源码 > CPLD 用于产生CCD驱动信号
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

CPLD 用于产生CCD驱动信号

更新时间:2013-05-28 16:35:44 大小:124K 上传用户:iamqiyi查看TA发布的资源 标签:CPLD产生 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

EPM240,用于产生2MHZ的CCD驱动信号和SH,ICG信号,验证可以驱动的型号:东芝TCD1304

部分文件列表

文件名文件大小修改时间
2MHZ/2MHZ.done1KB2013-04-15 02:24:40
2MHZ/2MHZ.flow.rpt6KB2013-04-15 02:24:26
2MHZ/2MHZ.map.rpt17KB2013-04-15 02:24:26
2MHZ/2MHZ.map.summary1KB2013-04-15 02:24:26
2MHZ/2MHZ.qpf1KB2013-04-15 01:33:44
2MHZ/2MHZ.qsf3KB2013-04-15 09:15:50
2MHZ/2MHZ.qws1KB2013-04-15 09:15:50
2MHZ/2MHZ.sim.rpt37KB2013-04-15 02:24:38
2MHZ/2MHZ.vhd1KB2013-04-15 01:54:06
2MHZ/2MHZ.vwf2KB2013-04-15 02:17:30
2MHZ/db/2MHZ.(0).cnf.cdb2KB2013-04-15 02:24:26
...

全部评论(1)