您现在的位置是:首页 > 源码 > FPGA流水灯实验
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

FPGA流水灯实验

更新时间:2014-12-14 20:29:46 大小:3M 上传用户:帆少爷GG查看TA发布的资源 标签:VerilogEP2C8Q208 下载积分:0分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

利用Verilog语言编写的流水灯实验,实验采用芯片EP2C8Q208

部分文件列表

文件名大小
02_run_flash_led/1KB
02_run_flash_led/db/1KB
02_run_flash_led/db/logic_util_heursitic.dat7KB
02_run_flash_led/db/prev_cmp_run_flash_led.qmsg47KB
02_run_flash_led/db/run_flash_led.(0).cnf.cdb1KB
02_run_flash_led/db/run_flash_led.(0).cnf.hdb1KB
02_run_flash_led/db/run_flash_led.(1).cnf.cdb2KB
02_run_flash_led/db/run_flash_led.(1).cnf.hdb1KB
02_run_flash_led/db/run_flash_led.(2).cnf.cdb4KB
02_run_flash_led/db/run_flash_led.(2).cnf.hdb1KB
02_run_flash_led/db/run_flash_led.amm.cdb1KB
...

全部评论(0)

暂无评论