推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

自动售货机VHDL程序与仿真

更新时间:2012-05-19 19:25:16 大小:141K 上传用户:wyx567查看TA发布的资源 标签:VHDL自动售货机程序 下载积分:9分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

自动售货机VHDL程序与仿真自动售货机VHDL程序与仿真

部分文件列表

文件名文件大小修改时间
8.21 自动售货机VHDL程序与仿真.doc200KB2010-03-28 13:51:42
使用说明.txt1KB2007-03-03 22:15:24
牛伯伯—为您提供一切免费学习资源!.url1KB2007-03-03 20:40:24

全部评论(0)

暂无评论