上传资源列表
-
蒙特卡罗算法资料合集
大小:45M 更新时间:2021-08-25 下载积分:8分
蒙特卡罗算法资料合集:Optim07__直接搜索法和蒙特卡罗法.pdfOptim07__直接搜索法和蒙特卡罗法__无动画.pdfSimulink仿真培训整理.pdf基于蒙特卡罗方法的试卷难度分布研究.pdf数学模型与计算机模拟.doc蒙特卡洛.rar蒙...
-
-
FIFO full_adder SPI接口 分頻器等9个VHDL设计源码Quartus工程文件
大小:2M 更新时间:2021-08-25 下载积分:9分
FIFO full_adder SPI接口 分頻器等9个VHDL设计源码Quartus工程文件, Quartus软件版本9.0,可以做为你的学习设计参考。library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_unsigned.all;use IEEE.std_log...
-
2N分頻器5分頻器10分頻器分頻器器VHDL逻辑设计源码Quartus工程文件 Quartus
大小:746K 更新时间:2021-08-25 下载积分:9分
2N分頻器5分頻器10分頻器分頻器器VHDL逻辑设计源码Quartus工程文件, Quartus软件版本9.0,可以做为你的学习设计参考。 ibrary ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_l...
-
FPGA读写SDRAM read writ 实验完整Verilog逻辑源码Quartus工程
大小:7M 更新时间:2021-08-25 下载积分:9分
FPGA读写SDRAM read_write_a_worde实验完整Verilog逻辑源码Quartus工程文件,`timescale 1 ns/ 1 ps// synopsys translate_onmodule sdram_top( clk, reset_n, sdram_bank_addr, write_data, ...