上传资源列表
-
数码管扫描设计VHDL源代码
大小:204K 更新时间:2019-10-26 下载积分:0分
代码:library ieee;use icee.std logic 1164.all;use ieee.std logic arith.all;use ieee.std_logic_unsigned.all;entity haut is port(clk,rst:in std logic;aux:out std_logic_vector(6 downto 0);cat:...
-
SPI实现串行通信
大小:198K 更新时间:2019-10-26 下载积分:0分
一、SPI结构增强型串行外设接口(SPI0)提供访问一个全双工同步串行总线的能力。SP10可以作为主器件或从器件工作,可以使用3线或4线方式,并可在同一总线上支持多个主器件和从器件。从选择信号(NSS可被配置为输入以...
-
FET430UIF常见问题及解决方法.
大小:186K 更新时间:2019-10-26 下载积分:0分
1.安装仿真器驱动时出错:“INF找不到所需的段落“这是你系统装的是精简版XP系统的问问题。打开IAR目录下FET430UI的的驱动程序,其下有2个INF文件件:umpusbXP.inf和UmpCom×P.inf 其中umpusbXP.in中无Classlnstall3...
-
自制家用简易逆变器电路图
大小:183K 更新时间:2019-10-26 下载积分:0分
电路见图1当把开关K1打向“逆变”位置时,BG1导通,由时基电路NE555及外围元件组成的无稳态多谐振荡器开始振荡,其充?放电时间常数可调节?如果选择R1=R2则输出脉冲的占空比为50%,该多谐振荡器的振荡频率f=1.443/...
-
如何使tcp包和udp包穿透网络防火墙
大小:180K 更新时间:2019-10-26 下载积分:0分
如何使tcp包和udp包穿透网络防火墙通过本文的httptunnel技术同时逃过了防火墙的屏蔽以及系统的追踪试验,我们可以看到网络安全仅仅依靠某种或某几种手段是不可靠的同时对安全系统的盲目性依赖往往会造成巨大的安全隐...