推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于VHDL语言的一个简单秒表

更新时间:2019-11-04 17:35:32 大小:17K 上传用户:zyf901126查看TA发布的资源 标签:vhdl语言 下载积分:5分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

基于VHDL语言的一个简单秒表 基于VHDL语言的一个简单秒表

image.png

部分文件列表

文件名大小
timer/
timer/BASYS2/
timer/BASYS2/btn_xd.vhd1KB
timer/BASYS2/data_control.vhd2KB
timer/BASYS2/seg_drive.vhd5KB
timer/BASYS2/timer.vhd2KB
timer/BASYS2/top.bit
timer/BASYS2/top.ucf
timer/BASYS2/top.vhd3KB
timer/NEXYS3/
timer/NEXYS3/btn_xd.vhd1KB
...

全部评论(1)