推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

用VHDL语言编写的基于FPGA的波形发生器,使用了QuartusII程序

更新时间:2019-10-14 23:05:39 大小:2M 上传用户:lalahug查看TA发布的资源 标签:vhdlfpga波形发生器quartus 下载积分:9分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

用VHDL语言编写的基于FPGA的波形发生器,使用了QuartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。.rar

image.png

部分文件列表

文件名文件大小修改时间
box/allwave.mif4KB2007-06-17 14:57:50
box/allwave.vhd6KB2007-06-17 15:01:34
box/BOX.asm.rpt8KB2007-06-24 23:09:06
box/BOX.cdf1KB2007-06-24 23:00:36
box/BOX.done1KB2007-06-25 01:03:36
box/BOX.fit.eqn263KB2007-06-24 23:09:02
box/BOX.fit.rpt174KB2007-06-24 23:09:02
box/BOX.fit.summary1KB2007-06-24 23:09:02
box/BOX.flow.rpt4KB2007-06-24 23:09:08
box/BOX.map.eqn219KB2007-06-24 23:08:50
box/BOX.map.rpt184KB2007-06-24 23:08:50
...

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载