您现在的位置是:首页 > 应用设计 > 奇数分频器VHDL设计
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

奇数分频器VHDL设计

更新时间:2018-09-12 16:44:23 大小:168K 上传用户:sun2152查看TA发布的资源 标签:vhdl分频器 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

分频器在CPLD/FPGA设计中使用频率非常高,尽管目前大部分设计中采用芯片厂家集成的锁相环资源,但是对于要求奇数倍分频、小数倍分频的应用场合却往往不能满足要求。硬件工程师希望有一种灵活的设计方法,根据需要,在实验室就能设计分频器并马上投入使用,更改频率时无需改动原器件或电路板,只需重新编程,在数分钟内即可完成。  对于偶数分频,使用一模N计数器模块即可实现,即每当模N计数器从0开始计数至N时,输出时钟进行翻转,同时使计数器复位,使之从0开始重新计数,以此循环即可实现。但对于奇数分频,实现50%的占空比却是比较困难的。下面给出占空比50%的奇数分频器的设计源程序和仿真结果。

部分文件列表

文件名 大小
奇数分频器VHDL设计.doc 168K

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载