推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

verilog hdl教程 逻辑源码135例

更新时间:2020-06-30 07:20:04 大小:162K 上传用户:xzxbybd查看TA发布的资源 标签:verilog hdl 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

verilog hdl教程 逻辑源码135例


部分文件列表

文件名文件大小修改时间
verilog hdl教程135例/chap10/acc.acf14KB2004-07-31 00:28:14
verilog hdl教程135例/chap10/acc.hif1KB2004-07-31 00:28:32
verilog hdl教程135例/chap10/acc.v1KB2003-12-04 22:13:06
verilog hdl教程135例/chap10/accn.v1KB2003-12-06 16:09:38
verilog hdl教程135例/chap10/add8.v1KB2003-11-25 19:32:14
verilog hdl教程135例/chap10/adder8.v1KB2003-12-06 19:02:52
verilog hdl教程135例/chap10/block1.v1KB2003-12-04 22:15:04
verilog hdl教程135例/chap10/block2.v1KB2003-12-04 22:15:18
verilog hdl教程135例/chap10/block3.v1KB2003-12-04 22:15:46
verilog hdl教程135例/chap10/block4.v1KB2003-12-04 22:16:00
verilog hdl教程135例/chap10/control.v1KB2003-12-06 19:05:30
...

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载