推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

verilog 程序实例 基础例程 FPGA设计实例

更新时间:2020-05-07 10:43:34 大小:168K 上传用户:xzxbybd查看TA发布的资源 标签:verilogfpga 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

verilog 程序实例 基础例程 FPGA设计实例,逻辑原密码


部分文件列表

文件名大小
verilog 程序实例/
verilog 程序实例/acc.acf
verilog 程序实例/acc.hif1KB
verilog 程序实例/acc.v1KB
verilog 程序实例/accn.v
verilog 程序实例/account.v2KB
verilog 程序实例/add4_1.v
verilog 程序实例/add4_2.v
verilog 程序实例/add4_3.v
verilog 程序实例/add8.v
verilog 程序实例/add8_tp.v1KB
...

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载