推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于verilog的FFT设计,使用vivado作为开发平台

更新时间:2019-10-22 18:22:20 大小:4M 上传用户:lalahug查看TA发布的资源 标签:verilogfftvivado 下载积分:9分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

基于verilog的FFT设计,使用vivado作为开发平台

image.png

部分文件列表

文件名文件大小修改时间
fft_ex1/cos4.coe1KB2015-07-15 15:25:16
fft_ex1/fft_ex.sim/sim_1/behav/compile.bat1KB2015-07-21 16:53:46
fft_ex1/fft_ex.sim/sim_1/behav/compile.log24KB2015-07-21 16:53:58
fft_ex1/fft_ex.sim/sim_1/behav/cos4.coe1KB2015-07-15 15:25:16
fft_ex1/fft_ex.sim/sim_1/behav/data_buffer_input.mif1KB2015-07-15 15:30:28
fft_ex1/fft_ex.sim/sim_1/behav/elaborate.bat1KB2015-07-21 16:54:00
fft_ex1/fft_ex.sim/sim_1/behav/elaborate.log15KB2015-07-21 16:54:26
fft_ex1/fft_ex.sim/sim_1/behav/fft_tb1.tcl1KB2015-07-21 16:54:26
fft_ex1/fft_ex.sim/sim_1/behav/fft_tb1_behav.wdb225KB2015-07-21 16:56:00
fft_ex1/fft_ex.sim/sim_1/behav/fft_tb1_vlog.prj1KB2015-07-21 16:53:46
fft_ex1/fft_ex.sim/sim_1/behav/glbl.v1KB2015-04-22 11:29:32
...

全部评论(0)

暂无评论