推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

一种改进的适用于Sigma-Delta+ADC的数字抽取滤波器

更新时间:2019-07-11 09:48:38 大小:243K 上传用户:江岚查看TA发布的资源 标签:滤波器adc 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

摘 要:数字滤波器在sigma-delta ADC 芯片中占据了大部分芯片面积,该文提出了一种数字滤波器结构,这种

结构滤波器采用一个控制单元和一个加法器取代了Hogenauer 结构滤波器中差分器的多个加法器,从而减小数字

电路的面积。一个采用这种结构的4 阶的数字滤波器在CYCLONE II FPGA 芯片中被实现,耗费的硬件资源比

Hogenauer 结构的滤波器减少近29%。


部分文件列表

文件名 大小
一种改进的适用于Sigma-Delta+ADC的数字抽取滤波器.pdf 243K

全部评论(0)

暂无评论