您现在的位置是:首页 > 教程 > 为FPGA供电
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

为FPGA供电

更新时间:2019-01-16 10:59:56 大小:194K 上传用户:z00查看TA发布的资源 标签:fpga供电 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

如今,FPGA是广泛使用的通用组件,甚至可以在单个芯片上包含复杂系统,从而使用户能够获得极短的上市时间。然而,这些通用部件迫切需要有利的电流,这使得它们能够充分利用它们的能力。 FPGA电源的基本要求之一是以最佳质量产生必要的电源电压。

本文讨论了FPGA的电源要求,并说明了适当电源解决方案的一些通用和特定路径。

电源设计的基本概念FPGA的电源设计是设计过程中的关键点之一,因为要求严格且多样化,范围从极低的电源电压(如逻辑电压0.9)核电源端接至1.2,电流耗散变化大,噪声要求低。在大多数情况下,但专门针对PLL电源,高效率对于最大限度地减少热量产生非常重要。由于系统位于单个芯片上,因此整个系统设计紧凑,电源不能容忍异常。电源的另一个要求是易于使用,因为具有深厚模拟和电力知识和经验的工程师很少。


部分文件列表

文件名 大小
Powering-FPGAs.pdf 194K

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载