推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

ModelSim SE 仿真 Altera 库的一些问题

更新时间:2020-11-17 11:06:21 大小:172K 上传用户:xzxbybd查看TA发布的资源 标签:modelsimaltera 下载积分:3分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

ModelSim SE 仿真 Altera 库的一些问题 

1. modelsim 怎么调用 altera 的库仿真啊(megafunctions) 以前有个帖子说把 quartus 安装目录下的 sim 文件夹里面的文件编译进 modelsi m 里面就可以了,可是 sim 文件夹里面我要的那个函数不是.v 文件啊,还有他 里面的一些.vhd 文件怎么编译错误啊? eda/sim_lib 里,编译错误,我想是你编译的顺序不对 EDA/SIM_LIB 中文件直接放到 PROJECT 中,你需要看看它的告错信息。一般 是缺库。




3. 如何在 modelsim 中指定 Altera 的仿真库我就是按照精华区那个帖子上说的把 quartusedasim_libaltera_mf.vlpm 生成 afifo.vtestbench.v 放在一起编译,照着做还是提示如下错误实在是搞不懂 怎么回事? # Loading work.afifo_sim # ** Error: (vsim-3033) F:/Modeltech_5.7e/examples/work/afifo/afifo_testb ench.v(46): Instantiation of 'Afifo' failed. The design unit was not found. # Region: /afifo_sim # Searched libraries: # work

部分文件列表

文件名 大小
ModelSim_SE仿真Altera库的一些问题.pdf 172K

全部评论(0)

暂无评论