推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

modelsim编写do仿真文件一些经验

更新时间:2019-02-10 00:00:29 大小:125K 上传用户:sun2152查看TA发布的资源 标签:modelsim 下载积分:1分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

采用TCL脚本语言编写的.do文件,在modelsim中执行do文件可以自动进行仿真。比起在modelsim中新建仿真工程或者在ISE中调用modelsim仿真都要好得多,而且方便快捷。下面是编写do文件的一般步骤:

1. quit –sim -――首先退出原来仿真的工程。

2. cd       ―――设置工作目录的路径,就是你所要建立的工作目录work要放在哪里。

3. vlib work ―――在工作目录下建立一个work目录,请注意不要用操作系统直接新建一个work的文件夹,因为用操作系统建立的work文件夹并没有modelsim SE自动生成的_info文件。还有个问题是,当你的软件工程里需要用到软核时,可能会需要一些库,但这些库ISE软件中是没有的,此时就需要我们自己新建库了,并映射到当前目录下。我们只自己新建的库一般放在work库前面建立。

4. vmap work ―――将新建的work库映射到当前工作目录下(当前目录就是第一步中的目录了)。其他新建的库也要这样的方法映射。


部分文件列表

文件名 大小
modelsim编写do仿真文件一些经验.doc 125K

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载