推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

fpga论文设计报告音乐播放器

更新时间:2019-12-17 09:35:13 大小:688K 上传用户:maomizhiji查看TA发布的资源 标签:fpga音乐播放器 下载积分:4分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

fpga论文设计报告音乐播放器相关步骤教学

部分文件列表

文件名 大小
炼狱传奇-音乐播放器之战.pdf 688K

部分页面预览

(完整内容请下载后查看)
FPGA 培训专家
炼狱传奇-音乐播放器之战  
经过前面的学习信大家已经掌握了软件的基本操作和设计的  
基本流程下来我们尝试设计一个比较有趣的东西就是一个音  
乐播放器,可以用来播放自己的爱曲。  
在做 FPGA设计之前们先来了解一下声音是怎么播放出来的,  
首先我们在本次设计中,用到的是一个无源蜂鸣器,如下图所示:  
由于 FPGA 的驱动能力不够,我们添加了一个三极管来驱动这个  
无源蜂鸣器无源蜂鸣器的主要特点是内部不带振荡源以如果  
使用直流信号是无法使无源蜂鸣器鸣叫的,必须使用方波去驱动它。  
现在我们明白了要往蜂鸣器发送一定频率的方波可以使  
得蜂鸣器发出声音后现在的问题是们究竟要往蜂鸣器发送什  
么频率的方波信号呢?具体的频率可以查看下图:  
现在我们知道了如何让蜂鸣器响起知道发送什么频率可以让  
蜂鸣器响起什么的声音以我相信我们已经有能力让蜂鸣器响起我  
至芯科技论坛
FPGA 培训专家
们需要的音乐了。  
下面我们来 FPGA 来设计一个蜂鸣器的播放器,首先我们至芯板  
子上 FPGA的晶振是 50Mhz以我们首先需要一个锁相环模块(PLL)  
来得到一个比较低的频率后在用这个比较低的频率来分出所需要  
的频率送往蜂鸣器,有了锁相环(PLL有了锁相环,我们就需要有  
一个模块来保存我们的音乐,那就 ROM 模块,这个我们可以通过 IP  
核来创建PLLROM模块们还需要一样东西就是音乐  
的节拍控制器,就比如,我现在要发出一个低音 1需要维持 1秒钟,  
那怎么办呢,所以我们需要一个模块控制每 0.25 秒钟,ROM 的地址  
才自动加一要发出一个低音 1需要维持 1秒钟们仅仅需要在  
ROM里面的连续 4个地址数写上低音 1即可,具体的设计架构图如下  
图所示:  
至芯科技论坛

全部评论(0)

暂无评论