推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于FPGA实现的PCI-I2S接口转换电路

更新时间:2020-07-12 06:22:11 大小:869K 上传用户:IC老兵查看TA发布的资源 标签:fpgapci接口 下载积分:5分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

提出了一种基于FPGA实现的PCI-I^2S音频系统方法。通过在FPGA中将PCI软核、FIFO以及设计的接口电路等相结合,在FPGA上实现了PCI、I^2C、I^2S等多种总线,并且结合音频解码器实现了不同采样频率语音数据的传输以及播放功能。系统充分利用FPGA的片上资源及其可编程特性,减少了硬件电路的复杂度。

We present a design of a PCI-I^2S audio system based on FPGA. By combining the PCI soft core, FIFO, and the designed interface circuit in FPGA, we implement such buses as PCI, I^2C, and I^2S in this FPGA system. We also implement the function of audio date transmission and playing by using the stereo audio codec Tlv320aic23b with FPGA. The system makes full use of the resources on FPGA and its characteristic of reprogrammability to reduce the complexity of the hardware circuit design.

部分文件列表

文件名 大小
基于FPGA实现的PCI-I^2S接口转换电路.pdf 869K

全部评论(0)

暂无评论