推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于FPGA控制的步进电机细分驱动系统

更新时间:2020-03-15 10:08:31 大小:12M 上传用户:IC老兵查看TA发布的资源 标签:fpga步进电机 下载积分:3分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

步进电机是一种将电脉冲信号转换成相应的角位移或线位移的机电元件,具有易于开环控制、无积累误差等优点,在众多领域获得了广泛的应用。为了适应一些领域中高精度定位和运行平稳性的要求,出现了步进电机细分驱动技术。      在控制策略方面,本文通过分析两相混合式步进电机的工作原来及其电磁模型,介绍了步进电机细分控制,从而提出了基于FPGA控制的PWM细分驱动技术,结合利用单片机来设定电机的转速、转向。由FPGA产生阶梯脉冲形成阶梯形电压信号以控制步进电机每相绕组在各时刻的电压,从而实现步进电机转角的任意细分控制。利用VHDL语言编程实现了步进电机256细分控制器的PWM模块、速度控制模块、数字比较模块等功能。      本文在进行多台步进电机细分驱动控制的研究上以XILINX公司的现场可编程门阵列(FPGA)器件XC2S15芯片为设计载体,以硬件描述语言VHDL为开发工具,设计了新的脉冲分配器,利用FPGA芯片内部的ROM存储器存放PWM波形数据,采用查表法,通过在不同地址单元内写入不同的PWM数据,用地址选择来实现不同通电方式下的可变步距细分,从而实现步进电机多重细分驱动控制。      利用FPGA器件XC2S15芯片具有多个用户自定义I/O口,解决了单片机I/O口不足的问题,与单片机P89V53RB2结合实现了多台步进电机的细分驱动与调速控制。      采用FPGA,该系统无需外接D/A转换器,大大简化了系统的外围硬件电路结构,提高了系统的抗干扰性能,缩短了步进电机驱动器的设计周期。结构简单,控制精度高,具有广泛的应用前景。  

部分文件列表

文件名 大小
基于FPGA控制的步进电机细分驱动系统.pdf 12M

全部评论(0)

暂无评论