推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于FPGA的步进电机细分控制电路设计

更新时间:2020-03-13 00:02:52 大小:1M 上传用户:zhengdai查看TA发布的资源 标签:fpga步进电机 下载积分:3分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

步进电机其实就是一种角度跟踪元件。步进电机有它本身固有的特点,成本低,结构简单,又可靠,同时它又是开环系统,正是这些优点,它的应用非常广。可是步进电机,它本身又存在着很多的缺点,比如:分辨率很低、在低频时发生振荡,在高频时又存在失步等,所以在一些系统要求精度高、稳定性要求也高的应用中,限制了其使用范围。

  在当前,步进电机控制的主要领域,是传统控制方法通过采用处理器实现的,如DSP或单片机来实现。51单片机对于较简单的控制算法比较适用。对于电路复杂,难度大的控制算法,以及非常不安全的作业场所,如在高速控制的场合,单片机就明显不适合。如果多个步进电机的控制是由单片机控制的,那么则必需多个单片机,即采用多个处理器的方式,而各处理器的分散使得多个电机的同步比较困难。同时使用了多个单片机,使体积增大,系统的集成度、可靠性降低。发生故障的几率将大大增强,并给排查故障和维护故障带来很大的不便,同时多处理器控制方式,在一些高精度设备中,将无法满足实时性的要求。

  本文介绍了基于FPGA的步进电机细分控制电路的设计,介绍了四象步进电机的工作原理、EDA的相关技术、步进电机细分驱动技术,最后用HDL语言设计了步进电机的细分驱动电路。以正弦波作为细分参考电流波形的混合步进电机细分电路,设计并实现了一种SPWM细分驱动技术,这种驱动方式可以更有效控制电机绕组电流波形,大大实现步进电机的均匀步距角细分,并提高它的分辨率。最后对各个功能模块进行了设计和仿真,利用FPGA的开发板进行测试,细分之后步进电机能精确平稳地转动,达到了预期的设计目的。与此同时采用FPGA设计,从而简化了外围硬件电路,还缩短了步进电机的设计周期,不要外接D/A转换器,提高了抗干扰性能,因此应用价值是很高的。

部分文件列表

文件名 大小
基于FPGA的步进电机细分控制电路设计.pdf 1M

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载