推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

FPGA的序列检测器的设计

更新时间:2019-01-19 00:27:44 大小:5M 上传用户:sun2152查看TA发布的资源 标签:fpga序列检测器 下载积分:1分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

脉冲序列检测器在现代数字通信系统中发挥着重要的作用,通过中小规模的数字


集成电路构成的传统脉冲序列检测器电路往往存在电路设计复杂、体积大、抗干扰能


力差以及设计困难、设计周期长等缺点。因此脉冲序列检测器电路的模块化、集成化


已成为发展趋势.它不仅可以使系统体积减小、重量减轻且功耗降低,同时可使系统的


可靠性大大提高。随着电子技术的发展,特别是专用集成电路(ASIC)设计技术的日趋


完善,数字化的电子自动化设计(EDA)工具“给电子设计带来了巨大变革,尤其是硬件


描述语言的出现,解决了传统电路原理图设计系统工程的诸多不便。随着ASIC技术、


EDA技术的不断完善和发展以及VHDL、HDL等通用性好、移植性强的硬件描述语言的


普及,FPGA等可编程逻辑器件必将在现代数字应用系统中得到广泛的应用,发挥越


来越重要的作用。


部分文件列表

文件名 大小
基于FPGA的序列检测器的设计.pdf 5M

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载