推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于FPGA的计算机CORDIC算法综述

更新时间:2018-12-20 09:47:27 大小:121K 上传用户:z00查看TA发布的资源 标签:fpga计算机cordic算法 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

目前,学习与开发FPGA的程序员们大多使用的是Verilog HDL语言(以下简称为Verilog),关于Verilog的诸多优点一休哥就不多介绍了,在此,我们将重点放在Verilog的运算操作上。 

我们都知道,在Verilog中,运算一般分为逻辑运算(与或非等)与算术运算(加减乘除等)。而在一开始学习Verilog时,老司机一定会提醒我们,“切记,千万别用‘/’除、‘%’取模(有的也叫取余)和‘**’幂。”这话说的不无道理,因为这三个运算是不可综合的。但,需清楚理解的是,不可综合的具体意思为不能综合为简单的模块,当我们在程序中调用了这些运算时,‘/’除和‘%’取模在Quartus软件中是可以综合的,因此可以正常调用运行,但是会消耗一些逻辑资源,而且会产生延时,即这两个运算的处理时间会很长,可能会大于时序控制时钟的单周期时间。此时呢,我们会建议你调用IP核来实现运算操作,虽然这样也会消耗许多逻辑资源,但产生的延时相对较小满足了你基本的需求。



部分文件列表

文件名 大小
crdcsrvy.pdf 121K

全部评论(0)

暂无评论