您现在的位置是:首页 > 源码 > fpga时钟
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

fpga时钟

更新时间:2013-03-03 16:53:49 大小:308K 上传用户:suiyixingzhe查看TA发布的资源 标签:fpga时钟 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

fpga时钟,vhdl,带设置时分秒,暂停,复位功能

部分文件列表

文件名大小
clock/1KB
clock/CLK_RING.sym1KB
clock/CNT24.sym1KB
clock/CNT60.sym1KB
clock/DIGITCLK.sym1KB
clock/ENCODE14.sym1KB
clock/LIB.DLS1KB
clock/RING.sym1KB
clock/U0427180.DLS2KB
clock/U0683438.DLS2KB
clock/U0966935.DLS11KB
...

全部评论(0)

暂无评论