推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码

更新时间:2020-06-23 19:42:59 大小:51K 上传用户:xzxbybd查看TA发布的资源 标签:vhdl比较器 下载积分:3分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码


部分文件列表

文件名大小
VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码/
VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码/8位总线收发器:74245.txt1KB
VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码/8位相等比较器.txt
VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码/LED七段译码.txt1KB
VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码/VHDL程序范例使用说明.doc
VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码/fifo存储器举例:(注3).txt2KB
VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码/n+0.5小数分频.txt1KB
VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码/readme.txt
VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码/一个游戏程序.vhd
VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码/一个简单的UART.vhd
VHDL 比较器 七段译码 加法器 三人表决器等 30个实例程序源码/一个简单的状态机.vhd1KB
...

全部评论(0)

暂无评论