您现在的位置是:首页 > 源码 > VHDL各种D触发器程序
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

VHDL各种D触发器程序

更新时间:2018-09-12 15:39:48 大小:53K 上传用户:sun2152查看TA发布的资源 标签:vhdl触发器程序 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

VHDL各种D触发器程序

第一题:普通触发器

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY Dchu IS

PORT (CLK,D:IN STD_LOGIC;

  Q:OUT STD_LOGIC);

END;

ARCHITECTURE FFQ OF Dchu IS

SIGNAL Q1:STD_LOGIC;

BEGIN

PROCESS (CLK,Q1)

 BEGIN

 IF CLK'EVENT AND CLK='1'

THEN Q1<=D;

 END IF;

END PROCESS;

Q<=Q1;

END FFQ;

 


部分文件列表

文件名 大小
VHDL各种D触发器程序.docx 53K

全部评论(0)

暂无评论