推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

Verilog写的基于FPGA的SD卡的读写程序,能够读出SD卡中存储的数据

更新时间:2019-11-03 17:47:31 大小:2M 上传用户:lalahug查看TA发布的资源 标签:verilogfpgasd卡 下载积分:9分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

Verilog写的基于FPGA的SD卡的读写程序,能够读出SD卡中存储的数据

image.png

部分文件列表

文件名文件大小修改时间
sd_ctrl/change.v1KB2012-05-12 13:40:10
sd_ctrl/change.v.bak1KB2012-05-12 13:32:02
sd_ctrl/clidiv.v.bak1KB2012-03-06 16:03:54
sd_ctrl/clkdiv.v1KB2012-05-12 13:43:54
sd_ctrl/clkdiv.v.bak1KB2012-05-12 11:08:14
sd_ctrl/db/altsyncram_8bc1.tdf14KB2012-05-12 10:24:30
sd_ctrl/db/altsyncram_8vj1.tdf3KB2012-05-12 09:40:36
sd_ctrl/db/altsyncram_apc1.tdf307KB2012-05-12 09:40:36
sd_ctrl/db/altsyncram_asj1.tdf3KB2012-05-09 18:24:50
sd_ctrl/db/altsyncram_bnu.tdf3KB2012-05-12 10:02:02
sd_ctrl/db/altsyncram_cmc1.tdf13KB2012-05-09 18:24:50
...

全部评论(0)

暂无评论