推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

8乘8乘法器verilog源代码

更新时间:2015-12-23 23:31:51 大小:32K 上传用户:wujianwei3980查看TA发布的资源 标签:verilog源代码 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

8乘8乘法器verilog源代码 有需要的朋友下来看看

部分文件列表

文件名大小
8乘8乘法器verilog源代码/1KB
8乘8乘法器verilog源代码/lpm_mul/1KB
8乘8乘法器verilog源代码/lpm_mul/lpm.cr.mti1KB
8乘8乘法器verilog源代码/lpm_mul/lpm.mpf8KB
8乘8乘法器verilog源代码/lpm_mul/lpm_mult.v3KB
8乘8乘法器verilog源代码/lpm_mul/lpm_mult.v.bak3KB
8乘8乘法器verilog源代码/lpm_mul/lpm_multtest.v1KB
8乘8乘法器verilog源代码/lpm_mul/lpm_multtest.v.bak1KB
8乘8乘法器verilog源代码/lpm_mul/transcript6KB
8乘8乘法器verilog源代码/lpm_mul/vsim.wlf32KB
8乘8乘法器verilog源代码/lpm_mul/work/1KB
...

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载