推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

TLC7524接口电路VHDL逻辑程序

更新时间:2020-07-01 20:44:35 大小:23K 上传用户:xzxbybd查看TA发布的资源 标签:tlc7524接口电路vhdl 下载积分:2分 评价赚积分 (如何评价?) 打赏 收藏 评论(0) 举报

资料介绍

TLC7524接口电路VHDL逻辑程序


-文件名:TLC7524.VHD

--功能:产生156.25KHz的正弦波。

--最后修改日期:2004.3.18

library ieee;

use ieee.std_logic_arith.all;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity TLC7524 is

  port( clk    :in std_logic;                                      --系统时钟

       rst    :in std_logic;                                      --复位信号

      data_out:out std_logic_vector(7 downto 0));                    --波形数据

  end TLC7524;      

architecture behav of TLC7524 is

signal b:integer range 0 to 63;                                    --地址计数器

signal q:integer range 0 to 4;                                     --计数器

signal d:integer range 0 to 255;                                   --波形数据寄存器

begin

process(clk)              --此进程通过对系统时钟的分频,完成的地址计数器的循环计数

begin

if rst='1' then b<=0;                                      --复位时,对地址寄存器清零  

elsif clk'event and clk='1' then

   if q=4 then q<=0;                             --IF语句完成对系统时钟的5分频

      if b=63 then b<=0;                          --IF语句完成对地址的循环计数

      else b<=b+1;

      end if;

   else q<=q+1;

   end if;

end if;

end process;

process(b)                              --此进程存储了正弦波64个采样点的波形数据

begin

case b is

when 00=> d<=255  ; when 01=> d<=254  ;when 02=> d<=252  ;when 03=> d<=249  ;

when 04=> d<=245  ; when 05=> d<=239  ;when 06=> d<=233  ;when 07=> d<=225  ;

when 08=> d<=217  ; when 09=> d<=207  ;when 10=> d<=197  ;when 11=> d<=186  ;

when 12=> d<=174  ; when 13=> d<=162  ;when 14=> d<=150  ;when 15=> d<=137  ;

when 16=> d<=124  ; when 17=> d<=112  ;when 18=> d<= 99  ;when 19=> d<= 87  ;

when 20=> d<= 75  ; when 21=> d<= 64  ;when 22=> d<= 53  ;when 23=> d<= 43  ;

when 24=> d<= 34  ; when 25=> d<= 26  ;when 26=> d<= 19  ;when 27=> d<= 13  ;

when 28=> d<=  8  ; when 29=> d<=  4  ;when 30=> d<=  1  ;when 31=> d<=  0  ;

when 32=> d<=  0  ; when 33=> d<=  1  ;when 34=


部分文件列表

文件名 大小
TLC7524接口电路VHDL逻辑程序.doc 23K

全部评论(0)

暂无评论

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载