推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

电子琴VHDL程序设计与仿真

更新时间:2020-07-03 08:01:41 大小:106K 上传用户:xzxbybd查看TA发布的资源 标签:电子琴vhdl 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

电子琴程序设计与仿真

顶层程序与仿真,音阶发生器程序与仿真,数控分频模块程序与仿真,自动演奏模块程序与仿真设计例见随书所附光盘中文件:8.17电子琴VHDL程序与仿真

1.顶层程序与仿真

1顶层VHDL程序

--文件名:top.vhd

--功能:顶层文件

--最后修改日期:2004.3.20

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity top is

Port ( clk32MHz  :in std_logic;                     --32MHz系统时钟

handTOauto : in std_logic;                     --键盘输入/自动演奏

code1      :out std_logic_vector(6 downto 0);    --音符显示信号

index1     :in std_logic_vector(7 downto 0);     --键盘输入信号

high1      :out std_logic;                    --高低音节信号

spkout     :out std_logic);                    --音频信号

end top;

architecture Behavioral of top is

component automusic

Port ( clk :in std_logic;                           

Auto: in std_logic;                            

index2:in std_logic_vector(7 downto 0);         

index0 : out std_logic_vector(7 downto 0));      

end component;

component tone

Port ( index : in std_logic_vector(7 downto 0);         

code : out std_logic_vector(6 downto 0);          

high : out std_logic;                          

tone0 : out integer range 0 to 2047);

end component;

component speaker

Port ( clk1 : in std_logic;

tone1 : in integer range 0 to 2047;

spks : out std_logic);

end component;

signal tone2: integer range 0 to 2047;

signal indx:std_logic_vector(7 downto 0);

begin


部分文件列表

文件名 大小
电子琴程序设计与仿真.doc 106K

全部评论(1)