推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

epm240 开发板Verilog例程工程文件MAX II的UFM模块使用实例源码+说明文档

更新时间:2020-07-01 16:29:47 大小:688K 上传用户:xzxbybd查看TA发布的资源 标签:epm240开发板verilog 下载积分:3分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

epm240 开发板Verilog例程工程文件MAX II的UFM模块使用实例源码+说明文档


MAX II 其实是内嵌了一块8Kbit 的Flash。这个Flash 原则上是不占用MAX

II 的其它可用逻辑资源的,前提是用户读写这块存储区使用altera 本身的串行接口(遵循

特定的通信协议)。但是这个协议比较繁琐,因此,对于这块存储区读写接口altera 提供了

四种通用的接口(包括本身的串行接口)供用户选择。

 I2C

 SPI

 Parallel

 None (Altera Serial Interface)

最后一种就是不需要占用器件额外逻辑资源的接口,上面三种是需要消耗器件逻辑资源

的接口。笔者使用了一个并行接口做测试,占用了EMP240 内部86 个LEs,对于资源比较紧

张的应用还是很划不来的。

更多详细的关于UFM 的信息请大家参考altera 提供的MAX II datasheet。下面介绍一

个使用并行接口读写UFM 的实例,以及功能仿真。

新建一个工程,名为ufmtest,顶层模块ufmtest.v。

在例化UFM 模块之前,大家需要先在MegaWizard Plug-In Manager 里添加一个Flash

模块。步骤如下:

① 点击菜单栏里的ToolsMegaWizard Plug-In Manager。弹出如图5.31 所示的对话

框,点击“next”。


部分文件列表

文件名大小
MAX II的UFM模块使用实例.pdf
UFTtest/
UFTtest/db/
UFTtest/db/.cmp.kpt
UFTtest/db/cmpr_2vb.tdf2KB
UFTtest/db/cntr_dsj.tdf4KB
UFTtest/db/logic_util_heursitic.dat5KB
UFTtest/db/prev_cmp_ufmtest.asm.qmsg2KB
UFTtest/db/prev_cmp_ufmtest.eda.qmsg2KB
UFTtest/db/prev_cmp_ufmtest.fit.qmsg
UFTtest/db/prev_cmp_ufmtest.map.qmsg
...

全部评论(0)

暂无评论