推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

EMIF接口调试代码,使用的是Verilog语言,FPGA与DSP通信,测试成功.

更新时间:2019-10-19 17:45:17 大小:131K 上传用户:lalahug查看TA发布的资源 标签:emif接口verilogfpgadsp 下载积分:9分 评价赚积分 (如何评价?) 打赏 收藏 评论(2) 举报

资料介绍

EMIF接口调试代码,使用的是Verilog语言,FPGA与DSP通信,测试成功.

image.png

部分文件列表

文件名大小
EMIF/
EMIF/DSP_RD.v1KB
EMIF/EMIF.asm.rpt7KB
EMIF/EMIF.done
EMIF/EMIF.fit.smsg1KB
EMIF/EMIF.fit.summary1KB
EMIF/EMIF.flow.rpt
EMIF/EMIF.jdi8KB
EMIF/EMIF.map.smsg
EMIF/EMIF.map.summary
EMIF/EMIF.pin
...

全部评论(2)

  • 2022-07-15 13:29:45菲菲爸

    不是xilinx

  • 2022-03-15 20:54:54养乐多啊

    EMIF_test仿真失败 EMIF_test与EMIF.v文件是没有关系吗?

上传资源 上传优质资源有赏金

  • 打赏
  • 30日榜单

推荐下载