推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

VHDL语言的数据类型及运算操作符课件

更新时间:2018-09-13 16:52:10 大小:588K 上传用户:sun2152查看TA发布的资源 标签:操作符数据类型vhdl 下载积分:1分 评价赚积分 (如何评价?) 收藏 评论(1) 举报

资料介绍

   VHDL语言像其它高级语言一样,具有多种数据类型。对大多数数据类型的定义,两者是一致的。但是也有某些区别,如VHDL语言中可以由用户自己定义数据类型,这一点在其它高级语言中是做不到的。

在VHDL语言中凡是可以赋予一个值的对象就称为客体(Object)。客体主要包括以下3种:信号、变量、常数(Signal、Variable、Constant)。在电子电路设计中,这3类客体通常都具有一定的物理含义。例如,信号对应地代表物理设计中的某一条硬件接线;常数对应地代表数字电路中的电源和地等。当然,变量对应关系不太直接,通常只代表暂存某些值的载体。3类客体的含义和说明场合如下表所示。


部分文件列表

文件名 大小
第三章-VHDL语言的数据类型及运算操作符.ppt 588K

全部评论(1)