推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

用VHDL语言设计可逆计数器与微分电路

更新时间:2018-09-13 16:46:45 大小:62K 上传用户:sun2152查看TA发布的资源 标签:vhdl计数器微分电路 下载积分:1分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

实验原理与分析     (1)微分电路可把矩形波转换为尖脉冲波。  (2)此电路的输出波形只反映输入波形的突变部分,即只有输入波形发生突变的瞬间才有输出,而对恒定部分则没有输出。   五、实验要求     (1)、 用VHDL语言,采用自底向上的层次式设计方法来设计D触发器;  (2)、生成D触发器模块符号; (3)、创建顶层原理图文件,在原理图文件中调用D触发器模块和其它 的逻辑门电路,来实现设计;  (4)、用功能仿真的方法进行电路仿真。

用VHDL语言设计一个4位BCD码可逆计数器,输入为时钟clk和控制信号dir,输出为q[3..1]。

部分文件列表

文件名 大小
用VHDL语言设计可逆计数器与微分电路.doc 62K

全部评论(0)

暂无评论