推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

用Verilog语言编写的8位乘法器,完成了8位二进制的整数乘法

更新时间:2019-10-27 14:28:35 大小:618K 上传用户:lalahug查看TA发布的资源 标签:verilog 下载积分:9分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

用Verilog语言编写的8位乘法器,完成了8位二进制的整数乘法

image.png

部分文件列表

文件名文件大小修改时间
mult8_csdn/db/add_sub_big.tdf2KB2010-05-08 11:16:24
mult8_csdn/db/mult8.(0).cnf.cdb7KB2010-05-08 10:59:16
mult8_csdn/db/mult8.(0).cnf.hdb1KB2010-05-08 10:59:16
mult8_csdn/db/mult8.(1).cnf.cdb2KB2010-05-08 11:58:48
mult8_csdn/db/mult8.(1).cnf.hdb1KB2010-05-08 11:58:48
mult8_csdn/db/mult8.(2).cnf.cdb2KB2010-05-08 11:14:14
mult8_csdn/db/mult8.(2).cnf.hdb1KB2010-05-08 11:14:14
mult8_csdn/db/mult8.(3).cnf.cdb1KB2010-05-08 11:14:14
mult8_csdn/db/mult8.(3).cnf.hdb1KB2010-05-08 11:14:14
mult8_csdn/db/mult8.(4).cnf.cdb5KB2010-05-08 11:14:14
mult8_csdn/db/mult8.(4).cnf.hdb2KB2010-05-08 11:14:14
...

全部评论(0)

暂无评论