您现在的位置是:首页 > 源码 > SPI.zip
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

SPI.zip

更新时间:2010-01-10 21:20:14 大小:833K 上传用户:pengtao27查看TA发布的资源 标签:FPGACPLD 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

SPI控制器的IP 源代码,对学习FPGA/CPLD设计有帮助。

部分文件列表

文件名大小
spi_master.vhd7KB
spi_master_tb.vhd35KB
spi_master_timesim.vhd456KB
spi_rcv_shift_reg.vhd6KB
spi_xmit_shift_reg.vhd3KB
uc_interface.vhd19KB
upcnt4.vhd1KB
upcnt5.vhd1KB
spi_master.npl1KB
work/_info3KB
work/roc/1KB
...

全部评论(0)

暂无评论