推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

FPGA篮球计分计时器_QuartusII_verilog

更新时间:2019-07-21 20:55:24 大小:13M 上传用户:hanjunma111查看TA发布的资源 标签:fpga篮球计分计时器 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

功能:计分员通过按键为两支队伍计分,每支队伍个对应一个按键,积分按键每按下一次对应队伍积分增加1(两分和三分需要按下两次和三次),数码管显示本节剩余时间和24s倒计时,分别对应一个按键来重置本节剩余时间和24s倒计时。

使用说明:k1 k2分别是增加两队的分数 K3:重置单节时间 k4:重置24秒违例时间,reset是复位

验证:经过睿智Altera助学FPGA开发板验证

注意:本程序用到了LCD1602模块,所以需要在睿智开发板外接LCD1602模块,该开发板是自带LCD1602模块接口的,可以把LCD1602直接插上开发板。

该工程有程序讲解视频,视频太大无法上传,可联系作者邮箱索要:865021481@qq.com


部分文件列表

文件名大小
篮球计分计时器/
篮球计分计时器/basketball_point/
篮球计分计时器/basketball_point/LCD1602.v7KB
篮球计分计时器/basketball_point/LCD1602.v.bak7KB
篮球计分计时器/basketball_point/basketball_point.qpf1KB
篮球计分计时器/basketball_point/basketball_point.qsf
篮球计分计时器/basketball_point/basketball_point.qws8KB
篮球计分计时器/basketball_point/basketball_point.v4KB
篮球计分计时器/basketball_point/basketball_point.v.bak1KB
篮球计分计时器/basketball_point/bin2dec.v3KB
篮球计分计时器/basketball_point/chuchan.v1KB
...

全部评论(0)

暂无评论