推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

《移位寄存器》EDA课程设计的相关文档合集

更新时间:2019-09-05 00:59:32 大小:3M 上传用户:AIGUO2020查看TA发布的资源 标签:移位寄存器eda 下载积分:9分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

《移位寄存器》EDA课程设计的相关文档合集

部分文件列表

文件名文件大小修改时间
《移位寄存器》EDA课程设计的相关文档合集/EDA课程设计——移位寄存器的设计与实现.doc88KB2016-11-14 22:40:38
《移位寄存器》EDA课程设计的相关文档合集/16位通用移位寄存器设计报告.doc142KB2016-11-14 22:42:02
《移位寄存器》EDA课程设计的相关文档合集/移位寄存器型计数器设计性实验报告.doc2107KB2016-11-14 22:42:22
《移位寄存器》EDA课程设计的相关文档合集/移位寄存器实验报告.doc945KB2016-11-14 22:42:46
《移位寄存器》EDA课程设计的相关文档合集/基于VHDL移位寄存器的设计与实现.EDA课程设计.doc119KB2016-11-14 22:43:08
《移位寄存器》EDA课程设计的相关文档合集/移位寄存器的VHDL设计.doc47KB2016-11-14 22:43:34
《移位寄存器》EDA课程设计的相关文档合集/EDA不同类型的移位寄存器设计报告.docx534KB2016-11-14 22:44:12
《移位寄存器》EDA课程设计的相关文档合集1KB2016-11-14 22:39:46
使用必读.txt1KB2019-08-27 06:54:54
点击查看更多相关源码资料.url1KB2019-08-10 11:31:26

全部评论(0)

暂无评论