推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

基于VHDL语言的函数发生器的设计

更新时间:2018-03-04 11:42:23 大小:357K 上传用户:huangtongyue查看TA发布的资源 标签:vhdl函数发生器 下载积分:2分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

基于VHDL语言的函数发生器的设计,基于FPGA的波形发生器

部分文件列表

文件名文件大小修改时间
基于VHDL语言的函数发生器的设计.pdf362KB2009-04-24 19:39:52

全部评论(0)

暂无评论