您现在的位置是:首页 > 技术资料 > FPGA复位总控制
推荐星级:
  • 1
  • 2
  • 3
  • 4
  • 5

FPGA复位总控制

更新时间:2018-04-12 13:33:16 大小:1K 上传用户:huangtongyue查看TA发布的资源 标签:fpga复位 下载积分:0分 评价赚积分 (如何评价?) 收藏 评论(0) 举报

资料介绍

 FPGA复位总控制,在工程中用于复位的关键程序

部分文件列表

文件名文件大小修改时间
rst_n_1.rar1KB2012-05-30 14:59:14
rst_n.rar1KB2012-05-30 14:59:00

全部评论(0)

暂无评论